Using Launch-on-Capture for Testing Scan Designs Containing Synchronous and Asynchronous Clock Domains
Wu, Shianling1,2; Wang, Laung-Terng4; Wen, Xiaoqing2; Jiang, Zhigang3; Tan, Lang5; Zhang, Yu5; Hu, Yu6; Jone, Wen-Ben7; Hsiao, Michael S.8; Li, James Chien-Mo4,9
刊名IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS
2011-03-01
卷号30期号:3页码:455-463
关键词Aligned launch-on-capture at-speed scan testing double-capture hybrid launch-on-capture launch-on-capture one-hot launch-on-capture staggered launch-on-capture
ISSN号0278-0070
DOI10.1109/TCAD.2010.2092510
英文摘要This paper presents a hybrid automatic test pattern generation (ATPG) technique using the staggered launch-on-capture (LOC) scheme followed by the one-hot LOC scheme for testing delay faults in a scan design containing asynchronous clock domains. Typically, the staggered scheme produces small test sets but needs long ATPG runtime, whereas the one-hot scheme takes short ATPG runtime but yields large test sets. The proposed hybrid technique is intended to reduce test pattern count with acceptable ATPG runtime for multi-million-gate scan designs. In case the scan design contains multiple synchronous clock domains, each group of synchronous clock domains is treated as a clock group and tested using a launch aligned or a capture aligned LOC scheme. By combining these schemes together, we found the pattern counts for two large industrial designs were reduced by approximately 1.7X to 2.1X, while the ATPG runtime was increased by 10% to 50%, when compared to the one-hot clocking scheme alone.
资助项目National Science Foundation of America[CCF-0541103] ; Japan Society for the Promotions of Science[22300017]
WOS研究方向Computer Science ; Engineering
语种英语
出版者IEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INC
WOS记录号WOS:000287658900011
内容类型期刊论文
源URL[http://119.78.100.204/handle/2XEOYT63/13176]  
专题中国科学院计算技术研究所期刊论文_英文
通讯作者Wu, Shianling
作者单位1.SynTest Technol Inc, Princeton, NJ 08550 USA
2.Kyushu Inst Technol, Dept Creat Informat, Fukuoka 8208502, Japan
3.SynTest Technol Inc, ATPG Res & Dev Grp, Sunnyvale, CA 94086 USA
4.Natl Taiwan Univ, Dept Elect Engn, Taipei 106, Taiwan
5.SynTest Technol Inc, Shanghai 201200, Peoples R China
6.Chinese Acad Sci, Inst Comp Technol, Beijing 100190, Peoples R China
7.Univ Cincinnati, Dept Elect & Comp Engn, Cincinnati, OH 45221 USA
8.Virginia Polytech Inst & State Univ, Dept Elect & Comp Engn, Blacksburg, VA 24061 USA
9.Natl Taiwan Univ, Grad Inst Elect Engn, Taipei 106, Taiwan
推荐引用方式
GB/T 7714
Wu, Shianling,Wang, Laung-Terng,Wen, Xiaoqing,et al. Using Launch-on-Capture for Testing Scan Designs Containing Synchronous and Asynchronous Clock Domains[J]. IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS,2011,30(3):455-463.
APA Wu, Shianling.,Wang, Laung-Terng.,Wen, Xiaoqing.,Jiang, Zhigang.,Tan, Lang.,...&Yu, Lizhen.(2011).Using Launch-on-Capture for Testing Scan Designs Containing Synchronous and Asynchronous Clock Domains.IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS,30(3),455-463.
MLA Wu, Shianling,et al."Using Launch-on-Capture for Testing Scan Designs Containing Synchronous and Asynchronous Clock Domains".IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS 30.3(2011):455-463.
个性服务
查看访问统计
相关权益政策
暂无数据
收藏/分享
所有评论 (0)
暂无评论
 

除非特别说明,本系统中所有内容都受版权保护,并保留所有权利。


©版权所有 ©2017 CSpace - Powered by CSpace