CORC  > 北京大学  > 信息科学技术学院
Adding the Missing Time-Dependent Layout Dependency into Device-Circuit-Layout Co-Optimization -New Findings on the Layout Dependent Aging Effects
Ren, Pengpeng ; Xu, Xiaoqing ; Hao, Peng ; Wang, Junyao ; Wang, Runsheng ; Li, Ming ; Wang, Jianping ; Bu, Weihai ; Wu, Jingang ; Wong, Waisum ; Yu, Shaofeng ; Wu, Hanming ; Lee, Shiuh-Wuu ; Pan, David Z. ; Huang, Ru
2015
英文摘要In this paper, a new class of layout dependent effects (LDE)-the time-dependent layout dependency due to device aging, is reported for the first time. The BTI and HCI degradation in nanoscale HKMG devices are experimentally found to be sensitive to layout configurations, even biased at the same stress condition. This new effect of layout dependent aging (LDA) can significantly mess the circuit design, which conventionally only includes the static LDE modeled for time-zero performance. Further studies at circuit level indicate that, for resilient device-circuit-layout co-design, especially to ensure enough design margin near the end of life, LDA cannot be neglected. The results are helpful to guide the cross-layer technology/design co-optimization.; CPCI-S(ISTP); r.wang@pku.edu.cn; dpan@ece.utexas.edu; ruhuang@pku.edu.cn
语种英语
出处IEEE International Electron Devices Meeting (IEDM)
内容类型其他
源URL[http://ir.pku.edu.cn/handle/20.500.11897/450247]  
专题信息科学技术学院
推荐引用方式
GB/T 7714
Ren, Pengpeng,Xu, Xiaoqing,Hao, Peng,et al. Adding the Missing Time-Dependent Layout Dependency into Device-Circuit-Layout Co-Optimization -New Findings on the Layout Dependent Aging Effects. 2015-01-01.
个性服务
查看访问统计
相关权益政策
暂无数据
收藏/分享
所有评论 (0)
暂无评论
 

除非特别说明,本系统中所有内容都受版权保护,并保留所有权利。


©版权所有 ©2017 CSpace - Powered by CSpace